邏輯與計算機設計基礎 pdf epub mobi txt 電子書 下載 2024


邏輯與計算機設計基礎

簡體網頁||繁體網頁
(美)M. Morris Mano
機械工業齣版社
鄺繼順 等
2012-7
492
75.00元
平裝
計算機科學叢書
9787111373117

圖書標籤: 計算機  硬件  數理邏輯  計算機科學  邏輯  計算機科學叢書  計算機底層  數學   


喜歡 邏輯與計算機設計基礎 的讀者還喜歡




點擊這裡下載
    


想要找書就要到 小哈圖書下載中心
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-18

邏輯與計算機設計基礎 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

邏輯與計算機設計基礎 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

邏輯與計算機設計基礎 pdf epub mobi txt 電子書 下載 2024



圖書描述

本書采用將邏輯設計與計算機設計原理結閤在一起的方法,為廣大讀者提供瞭學習邏輯設計、數字係統設計和計算機設計的基礎知識。從1997年第1版開始,作者就不斷對其進行豐富和修改,最新的第4版包含瞭相關研究領域和産業的最新發展狀況。從基礎理論到係統方法、從實用技術到現代化工具,本書從各個方麵嚮讀者展示瞭一個自然而流暢、高效並富有哲理的數字電路設計世界。

第4版除瞭提供完整的數字和計算機設計內容之外,還特彆強調基本原理為現代設計服務。全書以清晰的實例解釋和逐步延伸的設計來幫助讀者理解內容,實例涵蓋瞭從簡單的組閤邏輯應用到在一個RISC核上構建一個CISC結構,更加重視培養讀者在計算機輔助設計、問題形式化、解決方案驗證以及解決問題技巧方麵的能力。

本書的補充材料和教輔資源豐富,包括部分習題答案、PPT、VHDL和Verilog代碼,及補充閱讀材料等,方便教師教學和讀者自學。

本版更新內容:

 新增60個“現實世界”的例子和問題。

 新增和修改瞭40%的習題。

 重新調整和組織瞭內容以適應不同的課程大綱。

技術內容的更新包括:

 簡要介紹嵌入式係統。

 解釋瞭在Espresso中實際使用的計算機輔助邏輯優化方法。

 用液晶顯示屏例子更換CRT顯示器例子。

 包括多CPU微處理器在內的體係結構方麵的一些創新。

【譯者序】

如今我們生活在信息時代。試想,如果沒有瞭網絡、電腦、手機和電視,世界會變得怎樣?答案一定是世界將變得暗淡無光,甚至是悲慘的!數字電路與計算機技術作為其他技術的重要基礎之一,成就瞭當今人們高效有序、豐富多彩的工作與生活。從發明晶體管和集成電路到現在,數字電路和數字係統設計技術已經紅紅火火地走過瞭半個多世紀,計算機技術更是在最近三十年得到瞭跳躍式的發展。人們對未來充滿著更多美好的期望,數字電路與計算機必將承載著這一切嚮更廣範圍、更高水準延伸與發展。

從1997年至今,本書的英文版已經發行瞭4個版本,均受到瞭讀者的廣泛好評。第4版除瞭為讀者提供學習邏輯設計、數字係統設計和計算機設計的基礎知識之外,還包含有相關研究領域和行業的最新發展狀況。從基礎理論到係統方法、從實用技術到現代化工具,本書從各個方麵嚮讀者展示瞭一個自然而流暢、高效並富有哲理的數字電路設計世界。

本書以一颱通用計算機為綫索,由淺入深地講解瞭邏輯設計、數字係統設計和計算機設計。其中,第1章到第5章為邏輯設計,包括數字係統與信息、組閤邏輯電路及其設計、算術功能塊與硬件描述語言,以及時序電路;第6章到第8章為數字係統設計,包括可選的設計話題:數據通路、算術邏輯單元、移位寄存器、一個簡單計算機的結構、單周期硬連綫控製、多周期硬連綫控製等,寄存器與寄存器傳輸,以及存儲器基礎;第9章到第13章為計算機設計,包括計算機設計基礎、指令集結構、RISC與CISC中央處理器、輸入輸齣與通信,以及存儲係統。書中附有60個大部分來自現代日常生活中産品設計的真實例子和問題,可以激發讀者的學習興趣。配套網站(http://www.prenhall.com/mano)提供瞭大量的輔助信息,包括教師手冊、補充讀物、所有例子的VHDL和Verilog源文件、有關工具及網站的鏈接和習題解答等。從其編排可以清楚地看齣,本書不僅可以作為計算機科學、計算機工程、電子技術、機電一體化等專業學生學習硬件的一本絕佳教材,也可以作為弱電類工程師和計算機科學工作者的理想參考書籍。

本書由袁曉坊翻譯第1、12章,何海珍翻譯第2、3章,淩純清翻譯第4、6章,王偉徵翻譯第5章,周穎波翻譯第7章,張玲翻譯第8、13章,袁文澹翻譯第9、10章,劉鐵橋翻譯第11章。鄺繼順參加瞭第2、9章的翻譯,並對全部譯文進行瞭審校與潤飾。由於譯者水平有限,譯文中疏漏和錯誤在所難免,歡迎廣大讀者批評指正。

譯者

2011年12月於湖南長沙

【前言】

本書的目的是為廣大讀者提供學習邏輯設計、數字係統設計和計算機設計的基礎知識,本書第4版包含瞭近十年與課程內容相關方麵的最新發展情況。從1997年的第1版開始,作者就不斷對其進行修改,提供瞭一種獨一無二的將邏輯設計與計算機設計原理結閤在一起的方法,並特彆強調硬件。過去幾年,教材一直緊跟工業的發展趨勢,增加瞭新的材料如硬件描述語言,刪除或者弱化瞭某些正變得不太重要的內容,修改瞭某些內容以反映計算機技術和計算機輔助設計所發生的變化。

在第4版中,我們不僅關注如何教好這門課,而且也關注工業發展的趨勢。大部分來自現代日常生活中産品設計的60個“現實世界”的例子和問題,可以激發讀者的學習興趣,並為其提供實踐的解決方案。在章節組織方麵的修改可以使教師更容易為學生量身定做所要學習的內容,同時適用於電氣與計算機工程專業和計算機科學專業的讀者。

在這個版本中,我們首先將原來第3章中關於設計的一般性介紹和原來第4章中的功能模塊結閤在一起形成新的第3章。原來第3章中關於設計的一些科學內容現在作為必備的基礎分布在多個章節中,並附有例子和說明。用於組閤電路和算術電路的硬件描述語言放到第4章,以便平衡章節篇幅。過去第3章中有關技術方麵的內容,包括時序、可編程邏輯齣現在新的第6章中,教師可以根據課程教學大綱進行適當的選擇和講解。經過重新編排的第6章,允許那些對相關技術要求較少的人更早地接觸時序電路,並為一些專題提供更為廣泛的、必備的背景知識。況且,把技術性話題放在數字係統設計中比放在較早介紹的基本邏輯設計中更加閤適。第6章還包含一些CMOS電路以及係統之間進行異步交互的新的信息,包括輸入同步和亞穩態。

原來的第8章連同用來對復雜時序電路和控製單元進行流水綫式設計的算法狀態機(ASM)被取消瞭,第8章裏麵的概念被分散到第5章和第7章中。一種新的狀態機圖形錶示代替瞭ASM。這個狀態機圖是在傳統狀態圖的基礎上建模而成的,它能生動地用圖形的方式錶示齣用硬件描述語言進行建模所具有的自然的靈活性。還有,在第7章,我們把閤成數據通路和控製單元的設計過程進行瞭形式化,並舉例給予瞭說明。

本書第4版除瞭提供完整的數字和計算機設計內容之外,還特彆強調基本原理為現代設計服務。從簡單的組閤邏輯應用到在一個RISC核上構建一個CISC結構,多個例子的清晰解釋和漸進式的設計可以詮釋書中內容。完整的傳統內容包括計算機輔助設計、問題形式化、解決方案驗證,以及解決問題的技能的培養,而靈活性則體現在可選的邏輯設計、數字係統設計和計算機設計以及硬件描述語言的相關內容中。第4版除瞭組織和內容方麵的這些改變之外,其他方麵的改變還有:(1)對嵌入式係統的簡要介紹;(2)解釋瞭在Espresso中實際使用的計算機輔助邏輯優化方法;(3)用液晶顯示屏例子更換CRT顯示器例子;(4)包括多CPU微處理器在內的體係結構方麵的一些創新。

經過這些修訂,書本第1章到第5章講解邏輯設計,第6章到第8章研究數字係統設計,第9章到第13章關注計算機設計。這樣的編排可以在逐漸地、自底嚮上地完成瞭各種函數設計,並將其應用到後續章節自頂嚮下的計算機設計中之後,為讀者提供牢固的數字係統設計的基礎。下麵是各章相關內容的概括。

第1章——數字係統與信息。這一章介紹數字計算機、嵌入式係統和包括數字、算術運算及編碼在內的信息錶示。

第2章——組閤邏輯電路。這一章研究門電路和它們的類型,以及設計和優化的基本方法。概念包括布爾代數、代數優化和卡諾圖優化、Espresso算法作為實用的CAD優化工具以及多級優化。

第3章——組閤邏輯電路的設計。這一章以一個現代邏輯設計過程的概述開始。設計過程的詳細步驟包括問題形式化、邏輯優化、到與非門和或非門的工藝映射,驗證也包含在組閤邏輯設計的實例中。另外,這一章還包含函數和組閤設計的模塊構建,包括使能和輸入定值、譯碼、編碼、代碼轉換、選擇、分配和它們的實現。

第4章——算術功能塊與硬件描述語言。這一章講解算術功能塊和它們的實現。除算術運算如加法、減法和自增用數字來錶示之外,還對自減、填充、擴展和移位進行瞭描述和實現。介紹瞭綜閤過程和硬件描述語言。Verilog和VHDL從第3章起就用來描述組閤邏輯,從這一章起用來描述算術運算邏輯。

第5章——時序電路。這一章包含時序電路分析和設計。鎖存器、主從觸發器、邊沿觸發器都有涉及,並著重講解瞭D觸發器,而其他類型的觸發器(SR、JK與T)在現代設計中用得比較少,隻是簡要地說明瞭一下。本章的重點是狀態機圖和狀態錶的形式化錶示。一個時序電路完整的設計過程包括規格說明、形式化、狀態分配、觸發器輸入和輸齣函數確定、優化、工藝映射以及驗證。時序電路通常都太復雜而不能用傳統的狀態圖來錶示,但可以用狀態機圖模型來錶示,這一章通過兩個現實世界的例子來闡述和說明這一觀點。這一章的最後用VHDL和Verilog描述瞭一個觸發器和一個時序電路。

第6章——選擇的設計主題。這一章介紹的內容側重於底層技術的各個方麵,包括MOS晶體管和CMOS電路、門時延和定時、組閤電路和時序電路、電路之間的異步交互作用,以及可編程邏輯技術。其中,異步交互部分包括異步輸入的同步和亞穩態,可編程邏輯包含隻讀存儲器、可編程邏輯陣列和可編程陣列邏輯。

第7章——寄存器與寄存器傳輸。這一章講解寄存器和它們的應用。移位寄存器和計數器的設計基於第3章和第4章講解的觸發器和某些函數及其實現的組閤,隻有行波計數器作為一個全新的概念加以介紹。討論瞭寄存器傳輸的並行和串行方式,如何權衡時間與空間開銷,其中有一節側重於能執行多種運算的多功能寄存器的寄存器單元設計。數據通路和控製單元的協同過程使用瞭寄存器傳輸語言和狀態機圖,並且用兩個現實世界的例子對其進行瞭解釋,對所選的寄存器類型也用Verilog和VHDL語言進行瞭描述。

第8章——存儲器基礎。這一章介紹靜態隨機訪問存儲器(SRAM)和動態隨機訪問存儲器(DRAM),以及基本存儲器係統,還簡單地介紹瞭靜態隨機訪問存儲器的各種不同類型。

第9章——計算機設計基礎。這一章包括寄存器文件、功能單元、數據通路和兩種簡單計算機,包括一種單周期計算機和一種多周期計算機。重點在於數據通路和控製單元設計的形式化概念,以及用來設計具有特定指令和指令集的單周期和多周期計算機。

第10章——指令集結構。介紹指令集結構的相關問題,包括地址計算、尋址模式、指令結構和類型。講解浮點數錶示法和浮點運算,以及程序控製方法,包括過程調用與中斷。

第11章——RISC和CISC中央處理單元。這一章包含一些高性能處理器的概念,如流水式RISC和CISC處理單元。通過將一個微編碼硬件加到一個修改瞭的RISC處理單元上,CISC處理單元可以使用RISC的流水綫來執行CISC指令集,這是當今CISC處理單元使用的一種方法。除此之外,還介紹瞭高性能CPU在概念和結構方麵的創新,其中包括兩個多CPU微處理器的例子。

第12章——輸入輸齣與通信。這一章講解如何在CPU和內存之間、輸入輸齣接口和外圍設備之間進行數據傳送。討論瞭鍵盤、液晶顯示器(LCD)、硬盤驅動器等外部設備和鍵盤接口,以及包括通用串行總綫(USB)在內的串行通信和中斷係統的實現。

第13章——存儲係統。特彆重點關注存儲器的分層。引入瞭“訪問的局部性”的概念,並通過高速緩存和內存之間、內存和硬盤之間的關係對其進行瞭詳細講解。分析瞭高速緩存設計的各種參數。存儲器管理重點關注分頁管理和支持虛擬存儲的轉換後援緩衝器。

除瞭教材本身之外,還提供瞭一個配套網站(http://www.prenhall.com/mano)和一本教師手冊。配套網站的內容包括以下幾個方麵:1)閱讀補充材料,包括一些新材料和先前版本中刪除掉的部分內容;2)所有例子的VHDL和Verilog源文件;3)連接到用於FPGA設計和HDL模擬的計算機輔助設計工具的鏈接;4)全書大約1/3習題的答案;5)勘誤錶;6)第1章到第9章的幻燈片;7)書中復雜圖錶的原件;8)為學生和教師提供新信息、更新和更正內容的網站消息。我們鼓勵教師定期查看網站上的信息以便瞭解網站的變化。教師手冊包括書的使用建議以及所有習題的答案。從Prentice Hall到學校,每一個使用這本書上課的教師都有權限在綫訪問這本手冊。使用建議還提供瞭在各種不同課程教學大綱下使用該教材的重要的詳細信息。

由於本書覆蓋邏輯和計算機設計的麵很廣,作為大二到大三學生的課程它有幾個不同的目的。從第1章到第10章,去掉可選的內容外,其餘部分可以作為計算機科學、計算機工程、電氣工程或一般工程專業的學生一個學期學習硬件的課程。從第1章到第5章,或許再加上第6章到第8章一些可選部分是對邏輯設計的一個基本介紹,對電氣工程和計算機工程專業的學生來說,這些內容隻要一個季度的學習時間就夠瞭。一個學期學完第1章到第8章的全部內容,將會學到更為強大、更加先進的邏輯設計方法。整本書在兩個季度的時間內,可以為計算機工程和計算機科學專業的學生提供邏輯和計算機設計的基礎知識。本書的全部內容再加上適當的補充材料或實驗環節,可以作為兩個學期學習邏輯設計和計算機結構的課程。由於取材廣泛,並且處理得當,本書還是工程師和計算機科學工作者自學的理想書籍。最後,配套網站上提供的補充讀物對實現這些不同的目標來說都是有益的。

在第4版的準備期間,我們徵求瞭許多使用過本書前麵幾版的教師的意見,對超過50位教師進行瞭廣泛的關於第3版內容及其使用情況的調查。另外,Vanderbilt大學的Bharat Bhuva教授和San Jose州立大學的Donald Hung教授,通過對第3版撰寫評論提供瞭有用的反饋意見。我們非常感謝所有教師在第4版準備過程中的積極參與以及他們的建設性意見,特彆感謝Katherine Compton、Mikko Lipasti、Kewal Saluja和Leon Shohet教授以及威斯康星大學麥迪遜分校電氣與計算機工程係的Michael Morrow教師委員會。在他們提供的大量評論和建議的基礎上,通過與第二作者的重點討論,我們對原定第4版的內容進行瞭重大調整。我們還要感謝教師和學生對第3版提齣的修正,尤其是Dordt大學的Douglas De Boer教授的修改意見。特彆感謝威斯康星大學麥迪遜分校的Divya Jhalani,她對教師手冊和網站上新給齣的習題進行瞭解答。我們感謝所有在Prentice Hall或其他地方的工作人員對齣版所做齣的努力。特彆要感謝Mike McDonald編輯的指導、鼓勵和支持,製作編輯Dan Sandin和Irvwin Zucker對本書齣版所做的富有成效的工作,以及Bob Lentz的一絲不苟的編輯工作。最後,特彆感謝Val Kime對第4版整個過程持久的耐心和理解。

M.Morris Mano

Charles R.Kime

邏輯與計算機設計基礎 下載 mobi epub pdf txt 電子書

著者簡介


圖書目錄


邏輯與計算機設計基礎 pdf epub mobi txt 電子書 下載
想要找書就要到 小哈圖書下載中心
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

所有的加減乘除都可以變成等價的布爾二進製的邏輯運算,而那些二進製的邏輯運算,則可以通過簡單的電路來實現。這樣為瞭解決一個復雜的數學問題,隻需要將其分解為很多加減乘除的運算,然後等價為開關電路的邏輯運算。後者的實現就間接達到瞭前者的目標。《繼電器與開關電路的符號分析》香農

評分

和翔相比 一個看著是 一個讀著是

評分

所有的加減乘除都可以變成等價的布爾二進製的邏輯運算,而那些二進製的邏輯運算,則可以通過簡單的電路來實現。這樣為瞭解決一個復雜的數學問題,隻需要將其分解為很多加減乘除的運算,然後等價為開關電路的邏輯運算。後者的實現就間接達到瞭前者的目標。《繼電器與開關電路的符號分析》香農

評分

所有的加減乘除都可以變成等價的布爾二進製的邏輯運算,而那些二進製的邏輯運算,則可以通過簡單的電路來實現。這樣為瞭解決一個復雜的數學問題,隻需要將其分解為很多加減乘除的運算,然後等價為開關電路的邏輯運算。後者的實現就間接達到瞭前者的目標。《繼電器與開關電路的符號分析》香農

評分

真的爛,不僅原作者爛,譯者更爛,導緻這本書爛上加爛????

讀後感

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

邏輯與計算機設計基礎 pdf epub mobi txt 電子書 下載 2024


分享鏈接





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 qciss.net All Rights Reserved. 小哈圖書下載中心 版权所有