Verilog與SystemVerilog編程陷阱 pdf epub mobi txt 電子書 下載 2024
☆☆☆☆☆
簡體網頁||
繁體網頁
斯圖爾特·薩瑟蘭 (Stuart Sutherland)
機械工業齣版社
戴成然
2015-6-1
155
55.00
平裝
9787111503163
圖書標籤:
電子
數字電路設計
技術
IC
EE
AISC
喜歡 Verilog與SystemVerilog編程陷阱 的讀者還喜歡
下載链接在页面底部
點擊這裡下載
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!
发表于2024-11-15
Verilog與SystemVerilog編程陷阱 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024
Verilog與SystemVerilog編程陷阱 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024
Verilog與SystemVerilog編程陷阱 pdf epub mobi txt 電子書 下載 2024
圖書描述
這本書可以幫助工程師寫齣更好的Verilog/SystemVerilog的設計和驗證代碼,書中闡述瞭使用Verilog和SystemVerilog語言時超過100個常見的編碼錯誤;每一個例子都詳細說明瞭錯誤的癥狀、錯誤的語言規則以及正確的編碼方式。這本書能幫助數字設計工程師和驗證工程師有效地識彆與避免這些常見的編碼錯誤。書中列舉的這些錯誤許多是非常微妙的,有可能需要花費幾個小時或幾天的時間纔能發現或調試。
Verilog與SystemVerilog編程陷阱 下載 mobi epub pdf txt 電子書
著者簡介
作 者Stuart Sutherland是IEEE 1800工作組的成員,該工作組負責起草Verilog和SystemVerilog標準。早在1993年也就是Verilog標準的誕生之際,他就已經涉足其標準的定義。同時他參與SystemVerilog標準也可追溯到2001年。此外,Stuart是IEEE官方Verilog和SystemVerilog語言參考手冊的技術編輯。Stuart先生作為獨立Verilog顧問,專注提供針對Verilog HDL、SystemVerilog 和PLI的綜閤性專傢訓練。Stuart是《SystemVerilog for Design》《Verilog-2001:A Guide to the New Features in the Verilog Hardware Description Language》的閤著者,也是《The Verilog PLI Handbook》和頗受推崇的《Verilog HDL Quick Reference Guide》及《Verilog PLI Quick Reference Guide》的作者。Stuart同時發錶瞭諸多涉及Verilog和SystemVerilog的技術文章。
Don Mills從1986年開始涉足ASIC的設計。在此期間,他參與瞭超過30個ASIC項目。Don從1991開始使用自頂嚮下的設計方法(綜閤設計編譯器1.2)。Don在幾個公司開發並實施瞭自頂嚮下的ASIC設計流程。他精通工具整閤和流程自動化。Don作為SystemVerilog和Verilog內部谘詢師服務於美國微芯技術公司。Don是IEEE Verilog和SystemVerilog委員會的成員,該委員會緻力於Verilog和SystemVerilog語言的發布和完善。Don是多篇文章的作者或閤著者,例如《SystemVerilog Assertions are for Design Engineers Too!》及《RTLCoding Styles that Yield Simulation and Synthesis Mismatches》。
圖書目錄
目錄
譯者序
序
關於作者
第1章什麼是“編程陷阱”
什麼是Verilog和SystemVerilog
什麼是陷阱
Verilog和SystemVerilog標準
第2章聲明以及字符錶述類陷阱
陷阱1:字母大小寫的敏感性
陷阱2:網錶的隱式聲明
陷阱3:默認的1bit內部網
陷阱4:單文件和多文件編譯的$unit聲明
陷阱5:局部變量的聲明
陷阱6:分層路徑的轉義名稱
陷阱7:自動變量的分層引用
陷阱8:未命名模塊中的變量分層引用
陷阱9:分層引用一個導入的包項目
陷阱10:從程序包中導入枚舉類型
陷阱11:導入多個程序包
陷阱12:默認的整數進製
陷阱13:有符號整數
陷阱14:有符號數的位寬擴展
陷阱15:變量位寬與賦值位寬的不一緻
陷阱16:將矢量全置為1
陷阱17:閤並數組和並置
陷阱18:端口連接的幾點規則
陷阱19:後驅動端口
陷阱20:實型(浮點型)數字的端口間傳送
第3章RTL建模中的陷阱
陷阱21:包含函數調用的組閤邏輯靈敏度列錶
陷阱22:靈敏度列錶中的數組
陷阱23:時序邏輯靈敏度列錶中的嚮量
陷阱24:靈敏度列錶中的操作
陷阱25:使用begin...end的時序邏輯塊
陷阱26:帶復位的順序邏輯塊
陷阱27:異步設置
陷阱28:順序程序塊中的阻塞賦值
陷阱29:要求阻塞賦值的順序邏輯
陷阱30:組閤邏輯中的非阻塞賦值
陷阱31:錯誤順序的組閤邏輯賦值語句
陷阱32:case錶達式中casez
陷阱33:不完備的判決語句
陷阱34:重疊判決語句
陷阱35:不恰當使用unique條件語句
陷阱36:2—狀態模型的復位
陷阱37:枚舉類型鎖定狀態機的建模
陷阱38:4—狀態邏輯中隱藏的設計問題
陷阱39:2—狀態類型中隱藏的設計問題
陷阱40:越界數組訪問中的隱藏問題
陷阱41:枚舉類型的越界賦值
陷阱42:模塊中未檢測到共享變量
陷阱43:在接口和程序包中未見共享變量
第4章運算符陷阱
陷阱44:錶達式的賦值
陷阱45:操作符的自定義和上下文定義
陷阱46:賦值語句中的運算位寬和符號擴展
陷阱47:有符號數的算數運算規則
陷阱48:基於位選擇的操作
陷阱49:遞增、遞減和賦值運算符
陷阱50:前加與後加運算
陷阱51:一條語句中變量的多次改變
陷阱52:運算求值短路
陷阱53:邏輯非(!)與按位求反符(~)
陷阱54:數組的運算
陷阱55:針對數組子集的運算
第5章常見的編程陷阱
陷阱56:驗證零時刻的異步和同步復位
陷阱57:if...else嵌套語塊
陷阱58:4—狀態值下等號求值
陷阱59:事件觸發競爭條件
陷阱60:使用信號量的同步
陷阱61:使用郵箱的同步
陷阱62:時鍾塊的觸發
陷阱63:判斷語句後錯誤使用分號
陷阱64:for循環語句中分號的錯誤使用
陷阱65:死循環
陷阱66:由於並發for循環引起的死鎖
陷阱67:循環控製變量的引用
陷阱68:函數返迴默認的位寬
陷阱69:任務
陷阱70:為避免毛刺而采用延遲的連續賦值
第6章麵嚮對象和多綫程編程中的陷阱
陷阱71:類定義的編程語句
陷阱72:基於麵嚮對象接口的測試平颱
陷阱73:郵箱中的所有對象具有相同的值
陷阱74:使用input或ref參數的句柄傳遞
陷阱75:構建一個基於對象的數組
陷阱76:靜態任務和功能的非可重入性
陷阱77:靜態變量與自動變量的初始化
陷阱78:叉型編程綫程需要自動變量
陷阱79:禁用fork將終止多個綫程
陷阱80:禁用一個語句塊卻未如所願
陷阱81:仿真在測試完畢前過早退齣
第7章隨機化、覆蓋率和斷言類陷阱
陷阱82:隨機化聲明的變量並未隨機化
陷阱83:未被檢測的隨機化失敗
陷阱84:$assertoff可以禁止隨機化
陷阱85:兩個以上隨機變量的布爾約束條件
陷阱86:不必要的負隨機值
陷阱87:覆蓋報告默認基於組而非箱
陷阱88:覆蓋率始終報告0%
陷阱89:覆蓋報告將所有實例混在一起
陷阱90:覆蓋組的參數方嚮具有粘黏性
陷阱91:斷言傳遞語句與空成功一同執行
陷阱92:程序塊中的並發斷言
陷阱93:assert...else語句中的不匹配
陷阱94:不能失敗的斷言
第8章工具兼容性陷阱
陷阱95:默認的仿真時間單位和精度
陷阱96:程序包鏈接
陷阱97:不同工具的隨機數生成不一緻
陷阱98:使用always_latch
陷阱99:非標準語言擴展
陷阱100:數組常量的級聯
陷阱101:傳輸浮點數值(實數類型)的模塊端口
· · · · · · (
收起)
Verilog與SystemVerilog編程陷阱 pdf epub mobi txt 電子書 下載
用戶評價
評分
☆☆☆☆☆
原著應該是本很不錯的書;可惜翻譯和校對太不負責,各種錯誤滿天飛
評分
☆☆☆☆☆
原著應該是本很不錯的書;可惜翻譯和校對太不負責,各種錯誤滿天飛
評分
☆☆☆☆☆
原著應該是本很不錯的書;可惜翻譯和校對太不負責,各種錯誤滿天飛
評分
☆☆☆☆☆
原著應該是本很不錯的書;可惜翻譯和校對太不負責,各種錯誤滿天飛
評分
☆☆☆☆☆
原著應該是本很不錯的書;可惜翻譯和校對太不負責,各種錯誤滿天飛
讀後感
評分
☆☆☆☆☆
評分
☆☆☆☆☆
評分
☆☆☆☆☆
評分
☆☆☆☆☆
評分
☆☆☆☆☆
類似圖書 點擊查看全場最低價
Verilog與SystemVerilog編程陷阱 pdf epub mobi txt 電子書 下載 2024